]> git.karo-electronics.de Git - karo-tx-linux.git/blob - drivers/spi/spi-mxs.c
spi: spi-mxs: Fix extra CS pulses and read mode in multi-transfer messages
[karo-tx-linux.git] / drivers / spi / spi-mxs.c
1 /*
2  * Freescale MXS SPI master driver
3  *
4  * Copyright 2012 DENX Software Engineering, GmbH.
5  * Copyright 2012 Freescale Semiconductor, Inc.
6  * Copyright 2008 Embedded Alley Solutions, Inc All Rights Reserved.
7  *
8  * Rework and transition to new API by:
9  * Marek Vasut <marex@denx.de>
10  *
11  * Based on previous attempt by:
12  * Fabio Estevam <fabio.estevam@freescale.com>
13  *
14  * Based on code from U-Boot bootloader by:
15  * Marek Vasut <marex@denx.de>
16  *
17  * Based on spi-stmp.c, which is:
18  * Author: Dmitry Pervushin <dimka@embeddedalley.com>
19  *
20  * This program is free software; you can redistribute it and/or modify
21  * it under the terms of the GNU General Public License as published by
22  * the Free Software Foundation; either version 2 of the License, or
23  * (at your option) any later version.
24  *
25  * This program is distributed in the hope that it will be useful,
26  * but WITHOUT ANY WARRANTY; without even the implied warranty of
27  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
28  * GNU General Public License for more details.
29  */
30
31 #include <linux/kernel.h>
32 #include <linux/init.h>
33 #include <linux/ioport.h>
34 #include <linux/of.h>
35 #include <linux/of_device.h>
36 #include <linux/of_gpio.h>
37 #include <linux/platform_device.h>
38 #include <linux/delay.h>
39 #include <linux/interrupt.h>
40 #include <linux/dma-mapping.h>
41 #include <linux/dmaengine.h>
42 #include <linux/highmem.h>
43 #include <linux/clk.h>
44 #include <linux/err.h>
45 #include <linux/completion.h>
46 #include <linux/gpio.h>
47 #include <linux/regulator/consumer.h>
48 #include <linux/module.h>
49 #include <linux/stmp_device.h>
50 #include <linux/spi/spi.h>
51 #include <linux/spi/mxs-spi.h>
52
53 #define DRIVER_NAME             "mxs-spi"
54
55 /* Use 10S timeout for very long transfers, it should suffice. */
56 #define SSP_TIMEOUT             10000
57
58 #define SG_MAXLEN               0xff00
59
60 /*
61  * Flags for txrx functions.  More efficient that using an argument register for
62  * each one.
63  */
64 #define TXRX_WRITE              (1<<0)  /* This is a write */
65 #define TXRX_DEASSERT_CS        (1<<1)  /* De-assert CS at end of txrx */
66
67 struct mxs_spi {
68         struct mxs_ssp          ssp;
69         struct completion       c;
70 };
71
72 static int mxs_spi_setup_transfer(struct spi_device *dev,
73                                 struct spi_transfer *t)
74 {
75         struct mxs_spi *spi = spi_master_get_devdata(dev->master);
76         struct mxs_ssp *ssp = &spi->ssp;
77         uint32_t hz = 0;
78
79         hz = dev->max_speed_hz;
80         if (t && t->speed_hz)
81                 hz = min(hz, t->speed_hz);
82         if (hz == 0) {
83                 dev_err(&dev->dev, "Cannot continue with zero clock\n");
84                 return -EINVAL;
85         }
86
87         mxs_ssp_set_clk_rate(ssp, hz);
88
89         writel(BM_SSP_CTRL0_LOCK_CS,
90                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
91         writel(BF_SSP_CTRL1_SSP_MODE(BV_SSP_CTRL1_SSP_MODE__SPI) |
92                      BF_SSP_CTRL1_WORD_LENGTH
93                      (BV_SSP_CTRL1_WORD_LENGTH__EIGHT_BITS) |
94                      ((dev->mode & SPI_CPOL) ? BM_SSP_CTRL1_POLARITY : 0) |
95                      ((dev->mode & SPI_CPHA) ? BM_SSP_CTRL1_PHASE : 0),
96                      ssp->base + HW_SSP_CTRL1(ssp));
97
98         writel(0x0, ssp->base + HW_SSP_CMD0);
99         writel(0x0, ssp->base + HW_SSP_CMD1);
100
101         return 0;
102 }
103
104 static int mxs_spi_setup(struct spi_device *dev)
105 {
106         int err = 0;
107
108         if (!dev->bits_per_word)
109                 dev->bits_per_word = 8;
110
111         if (dev->mode & ~(SPI_CPOL | SPI_CPHA))
112                 return -EINVAL;
113
114         err = mxs_spi_setup_transfer(dev, NULL);
115         if (err) {
116                 dev_err(&dev->dev,
117                         "Failed to setup transfer, error = %d\n", err);
118         }
119
120         return err;
121 }
122
123 static uint32_t mxs_spi_cs_to_reg(unsigned cs)
124 {
125         uint32_t select = 0;
126
127         /*
128          * i.MX28 Datasheet: 17.10.1: HW_SSP_CTRL0
129          *
130          * The bits BM_SSP_CTRL0_WAIT_FOR_CMD and BM_SSP_CTRL0_WAIT_FOR_IRQ
131          * in HW_SSP_CTRL0 register do have multiple usage, please refer to
132          * the datasheet for further details. In SPI mode, they are used to
133          * toggle the chip-select lines (nCS pins).
134          */
135         if (cs & 1)
136                 select |= BM_SSP_CTRL0_WAIT_FOR_CMD;
137         if (cs & 2)
138                 select |= BM_SSP_CTRL0_WAIT_FOR_IRQ;
139
140         return select;
141 }
142
143 static void mxs_spi_set_cs(struct mxs_spi *spi, unsigned cs)
144 {
145         const uint32_t mask =
146                 BM_SSP_CTRL0_WAIT_FOR_CMD | BM_SSP_CTRL0_WAIT_FOR_IRQ;
147         uint32_t select;
148         struct mxs_ssp *ssp = &spi->ssp;
149
150         writel(mask, ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_CLR);
151         select = mxs_spi_cs_to_reg(cs);
152         writel(select, ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
153 }
154
155 static int mxs_ssp_wait(struct mxs_spi *spi, int offset, int mask, bool set)
156 {
157         const unsigned long timeout = jiffies + msecs_to_jiffies(SSP_TIMEOUT);
158         struct mxs_ssp *ssp = &spi->ssp;
159         uint32_t reg;
160
161         do {
162                 reg = readl_relaxed(ssp->base + offset);
163
164                 if (!set)
165                         reg = ~reg;
166
167                 reg &= mask;
168
169                 if (reg == mask)
170                         return 0;
171         } while (time_before(jiffies, timeout));
172
173         return -ETIMEDOUT;
174 }
175
176 static void mxs_ssp_dma_irq_callback(void *param)
177 {
178         struct mxs_spi *spi = param;
179         complete(&spi->c);
180 }
181
182 static irqreturn_t mxs_ssp_irq_handler(int irq, void *dev_id)
183 {
184         struct mxs_ssp *ssp = dev_id;
185         dev_err(ssp->dev, "%s[%i] CTRL1=%08x STATUS=%08x\n",
186                 __func__, __LINE__,
187                 readl(ssp->base + HW_SSP_CTRL1(ssp)),
188                 readl(ssp->base + HW_SSP_STATUS(ssp)));
189         return IRQ_HANDLED;
190 }
191
192 static int mxs_spi_txrx_dma(struct mxs_spi *spi, int cs,
193                             unsigned char *buf, int len,
194                             unsigned int flags)
195 {
196         struct mxs_ssp *ssp = &spi->ssp;
197         struct dma_async_tx_descriptor *desc = NULL;
198         const bool vmalloced_buf = is_vmalloc_addr(buf);
199         const int desc_len = vmalloced_buf ? PAGE_SIZE : SG_MAXLEN;
200         const int sgs = DIV_ROUND_UP(len, desc_len);
201         int sg_count;
202         int min, ret;
203         uint32_t ctrl0;
204         struct page *vm_page;
205         void *sg_buf;
206         struct {
207                 uint32_t                pio[4];
208                 struct scatterlist      sg;
209         } *dma_xfer;
210
211         if (!len)
212                 return -EINVAL;
213
214         dma_xfer = kzalloc(sizeof(*dma_xfer) * sgs, GFP_KERNEL);
215         if (!dma_xfer)
216                 return -ENOMEM;
217
218         INIT_COMPLETION(spi->c);
219
220         ctrl0 = readl(ssp->base + HW_SSP_CTRL0);
221         ctrl0 &= ~(BM_SSP_CTRL0_XFER_COUNT | BM_SSP_CTRL0_IGNORE_CRC |
222                  BM_SSP_CTRL0_READ);
223         ctrl0 |= BM_SSP_CTRL0_DATA_XFER | mxs_spi_cs_to_reg(cs);
224
225         if (!(flags & TXRX_WRITE))
226                 ctrl0 |= BM_SSP_CTRL0_READ;
227
228         /* Queue the DMA data transfer. */
229         for (sg_count = 0; sg_count < sgs; sg_count++) {
230                 /* Prepare the transfer descriptor. */
231                 min = min(len, desc_len);
232
233                 /*
234                  * De-assert CS on last segment if flag is set (i.e., no more
235                  * transfers will follow)
236                  */
237                 if ((sg_count + 1 == sgs) && (flags & TXRX_DEASSERT_CS))
238                         ctrl0 |= BM_SSP_CTRL0_IGNORE_CRC;
239
240                 if (ssp->devid == IMX23_SSP) {
241                         ctrl0 &= ~BM_SSP_CTRL0_XFER_COUNT;
242                         ctrl0 |= min;
243                 }
244
245                 dma_xfer[sg_count].pio[0] = ctrl0;
246                 dma_xfer[sg_count].pio[3] = min;
247
248                 if (vmalloced_buf) {
249                         vm_page = vmalloc_to_page(buf);
250                         if (!vm_page) {
251                                 ret = -ENOMEM;
252                                 goto err_vmalloc;
253                         }
254                         sg_buf = page_address(vm_page) +
255                                 ((size_t)buf & ~PAGE_MASK);
256                 } else {
257                         sg_buf = buf;
258                 }
259
260                 sg_init_one(&dma_xfer[sg_count].sg, sg_buf, min);
261                 ret = dma_map_sg(ssp->dev, &dma_xfer[sg_count].sg, 1,
262                         (flags & TXRX_WRITE) ? DMA_TO_DEVICE : DMA_FROM_DEVICE);
263
264                 len -= min;
265                 buf += min;
266
267                 /* Queue the PIO register write transfer. */
268                 desc = dmaengine_prep_slave_sg(ssp->dmach,
269                                 (struct scatterlist *)dma_xfer[sg_count].pio,
270                                 (ssp->devid == IMX23_SSP) ? 1 : 4,
271                                 DMA_TRANS_NONE,
272                                 sg_count ? DMA_PREP_INTERRUPT : 0);
273                 if (!desc) {
274                         dev_err(ssp->dev,
275                                 "Failed to get PIO reg. write descriptor.\n");
276                         ret = -EINVAL;
277                         goto err_mapped;
278                 }
279
280                 desc = dmaengine_prep_slave_sg(ssp->dmach,
281                                 &dma_xfer[sg_count].sg, 1,
282                                 (flags & TXRX_WRITE) ? DMA_MEM_TO_DEV : DMA_DEV_TO_MEM,
283                                 DMA_PREP_INTERRUPT | DMA_CTRL_ACK);
284
285                 if (!desc) {
286                         dev_err(ssp->dev,
287                                 "Failed to get DMA data write descriptor.\n");
288                         ret = -EINVAL;
289                         goto err_mapped;
290                 }
291         }
292
293         /*
294          * The last descriptor must have this callback,
295          * to finish the DMA transaction.
296          */
297         desc->callback = mxs_ssp_dma_irq_callback;
298         desc->callback_param = spi;
299
300         /* Start the transfer. */
301         dmaengine_submit(desc);
302         dma_async_issue_pending(ssp->dmach);
303
304         ret = wait_for_completion_timeout(&spi->c,
305                                 msecs_to_jiffies(SSP_TIMEOUT));
306         if (!ret) {
307                 dev_err(ssp->dev, "DMA transfer timeout\n");
308                 ret = -ETIMEDOUT;
309                 dmaengine_terminate_all(ssp->dmach);
310                 goto err_vmalloc;
311         }
312
313         ret = 0;
314
315 err_vmalloc:
316         while (--sg_count >= 0) {
317 err_mapped:
318                 dma_unmap_sg(ssp->dev, &dma_xfer[sg_count].sg, 1,
319                         (flags & TXRX_WRITE) ? DMA_TO_DEVICE : DMA_FROM_DEVICE);
320         }
321
322         kfree(dma_xfer);
323
324         return ret;
325 }
326
327 static int mxs_spi_txrx_pio(struct mxs_spi *spi, int cs,
328                             unsigned char *buf, int len,
329                             unsigned int flags)
330 {
331         struct mxs_ssp *ssp = &spi->ssp;
332
333         writel(BM_SSP_CTRL0_IGNORE_CRC,
334                ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_CLR);
335
336         mxs_spi_set_cs(spi, cs);
337
338         while (len--) {
339                 if (len == 0 && (flags & TXRX_DEASSERT_CS))
340                         writel(BM_SSP_CTRL0_IGNORE_CRC,
341                                ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
342
343                 if (ssp->devid == IMX23_SSP) {
344                         writel(BM_SSP_CTRL0_XFER_COUNT,
345                                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_CLR);
346                         writel(1,
347                                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
348                 } else {
349                         writel(1, ssp->base + HW_SSP_XFER_SIZE);
350                 }
351
352                 if (flags & TXRX_WRITE)
353                         writel(BM_SSP_CTRL0_READ,
354                                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_CLR);
355                 else
356                         writel(BM_SSP_CTRL0_READ,
357                                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
358
359                 writel(BM_SSP_CTRL0_RUN,
360                                 ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
361
362                 if (mxs_ssp_wait(spi, HW_SSP_CTRL0, BM_SSP_CTRL0_RUN, 1))
363                         return -ETIMEDOUT;
364
365                 if (flags & TXRX_WRITE)
366                         writel(*buf, ssp->base + HW_SSP_DATA(ssp));
367
368                 writel(BM_SSP_CTRL0_DATA_XFER,
369                              ssp->base + HW_SSP_CTRL0 + STMP_OFFSET_REG_SET);
370
371                 if (!(flags & TXRX_WRITE)) {
372                         if (mxs_ssp_wait(spi, HW_SSP_STATUS(ssp),
373                                                 BM_SSP_STATUS_FIFO_EMPTY, 0))
374                                 return -ETIMEDOUT;
375
376                         *buf = (readl(ssp->base + HW_SSP_DATA(ssp)) & 0xff);
377                 }
378
379                 if (mxs_ssp_wait(spi, HW_SSP_CTRL0, BM_SSP_CTRL0_RUN, 0))
380                         return -ETIMEDOUT;
381
382                 buf++;
383         }
384
385         if (len <= 0)
386                 return 0;
387
388         return -ETIMEDOUT;
389 }
390
391 static int mxs_spi_transfer_one(struct spi_master *master,
392                                 struct spi_message *m)
393 {
394         struct mxs_spi *spi = spi_master_get_devdata(master);
395         struct mxs_ssp *ssp = &spi->ssp;
396         struct spi_transfer *t, *tmp_t;
397         unsigned int flag;
398         int status = 0;
399         int cs;
400
401         cs = m->spi->chip_select;
402
403         list_for_each_entry_safe(t, tmp_t, &m->transfers, transfer_list) {
404
405                 status = mxs_spi_setup_transfer(m->spi, t);
406                 if (status)
407                         break;
408
409                 /* De-assert on last transfer, inverted by cs_change flag */
410                 flag = (&t->transfer_list == m->transfers.prev) ^ t->cs_change ?
411                        TXRX_DEASSERT_CS : 0;
412                 if ((t->rx_buf && t->tx_buf) || (t->rx_dma && t->tx_dma)) {
413                         dev_err(ssp->dev,
414                                 "Cannot send and receive simultaneously\n");
415                         status = -EINVAL;
416                         break;
417                 }
418
419                 /*
420                  * Small blocks can be transfered via PIO.
421                  * Measured by empiric means:
422                  *
423                  * dd if=/dev/mtdblock0 of=/dev/null bs=1024k count=1
424                  *
425                  * DMA only: 2.164808 seconds, 473.0KB/s
426                  * Combined: 1.676276 seconds, 610.9KB/s
427                  */
428                 if (t->len < 32) {
429                         writel(BM_SSP_CTRL1_DMA_ENABLE,
430                                 ssp->base + HW_SSP_CTRL1(ssp) +
431                                 STMP_OFFSET_REG_CLR);
432
433                         if (t->tx_buf)
434                                 status = mxs_spi_txrx_pio(spi, cs,
435                                                 (void *)t->tx_buf,
436                                                 t->len, flag | TXRX_WRITE);
437                         if (t->rx_buf)
438                                 status = mxs_spi_txrx_pio(spi, cs,
439                                                 t->rx_buf, t->len,
440                                                 flag);
441                 } else {
442                         writel(BM_SSP_CTRL1_DMA_ENABLE,
443                                 ssp->base + HW_SSP_CTRL1(ssp) +
444                                 STMP_OFFSET_REG_SET);
445
446                         if (t->tx_buf)
447                                 status = mxs_spi_txrx_dma(spi, cs,
448                                                 (void *)t->tx_buf, t->len,
449                                                 flag | TXRX_WRITE);
450                         if (t->rx_buf)
451                                 status = mxs_spi_txrx_dma(spi, cs,
452                                                 t->rx_buf, t->len,
453                                                 flag);
454                 }
455
456                 if (status) {
457                         stmp_reset_block(ssp->base);
458                         break;
459                 }
460
461                 m->actual_length += t->len;
462         }
463
464         m->status = status;
465         spi_finalize_current_message(master);
466
467         return status;
468 }
469
470 static const struct of_device_id mxs_spi_dt_ids[] = {
471         { .compatible = "fsl,imx23-spi", .data = (void *) IMX23_SSP, },
472         { .compatible = "fsl,imx28-spi", .data = (void *) IMX28_SSP, },
473         { /* sentinel */ }
474 };
475 MODULE_DEVICE_TABLE(of, mxs_spi_dt_ids);
476
477 static int mxs_spi_probe(struct platform_device *pdev)
478 {
479         const struct of_device_id *of_id =
480                         of_match_device(mxs_spi_dt_ids, &pdev->dev);
481         struct device_node *np = pdev->dev.of_node;
482         struct spi_master *master;
483         struct mxs_spi *spi;
484         struct mxs_ssp *ssp;
485         struct resource *iores;
486         struct clk *clk;
487         void __iomem *base;
488         int devid, clk_freq;
489         int ret = 0, irq_err;
490
491         /*
492          * Default clock speed for the SPI core. 160MHz seems to
493          * work reasonably well with most SPI flashes, so use this
494          * as a default. Override with "clock-frequency" DT prop.
495          */
496         const int clk_freq_default = 160000000;
497
498         iores = platform_get_resource(pdev, IORESOURCE_MEM, 0);
499         irq_err = platform_get_irq(pdev, 0);
500         if (irq_err < 0)
501                 return -EINVAL;
502
503         base = devm_ioremap_resource(&pdev->dev, iores);
504         if (IS_ERR(base))
505                 return PTR_ERR(base);
506
507         clk = devm_clk_get(&pdev->dev, NULL);
508         if (IS_ERR(clk))
509                 return PTR_ERR(clk);
510
511         devid = (enum mxs_ssp_id) of_id->data;
512         ret = of_property_read_u32(np, "clock-frequency",
513                                    &clk_freq);
514         if (ret)
515                 clk_freq = clk_freq_default;
516
517         master = spi_alloc_master(&pdev->dev, sizeof(*spi));
518         if (!master)
519                 return -ENOMEM;
520
521         master->transfer_one_message = mxs_spi_transfer_one;
522         master->setup = mxs_spi_setup;
523         master->bits_per_word_mask = SPI_BPW_MASK(8);
524         master->mode_bits = SPI_CPOL | SPI_CPHA;
525         master->num_chipselect = 3;
526         master->dev.of_node = np;
527         master->flags = SPI_MASTER_HALF_DUPLEX;
528
529         spi = spi_master_get_devdata(master);
530         ssp = &spi->ssp;
531         ssp->dev = &pdev->dev;
532         ssp->clk = clk;
533         ssp->base = base;
534         ssp->devid = devid;
535
536         init_completion(&spi->c);
537
538         ret = devm_request_irq(&pdev->dev, irq_err, mxs_ssp_irq_handler, 0,
539                                DRIVER_NAME, ssp);
540         if (ret)
541                 goto out_master_free;
542
543         ssp->dmach = dma_request_slave_channel(&pdev->dev, "rx-tx");
544         if (!ssp->dmach) {
545                 dev_err(ssp->dev, "Failed to request DMA\n");
546                 ret = -ENODEV;
547                 goto out_master_free;
548         }
549
550         ret = clk_prepare_enable(ssp->clk);
551         if (ret)
552                 goto out_dma_release;
553
554         clk_set_rate(ssp->clk, clk_freq);
555         ssp->clk_rate = clk_get_rate(ssp->clk) / 1000;
556
557         ret = stmp_reset_block(ssp->base);
558         if (ret)
559                 goto out_disable_clk;
560
561         platform_set_drvdata(pdev, master);
562
563         ret = spi_register_master(master);
564         if (ret) {
565                 dev_err(&pdev->dev, "Cannot register SPI master, %d\n", ret);
566                 goto out_disable_clk;
567         }
568
569         return 0;
570
571 out_disable_clk:
572         clk_disable_unprepare(ssp->clk);
573 out_dma_release:
574         dma_release_channel(ssp->dmach);
575 out_master_free:
576         spi_master_put(master);
577         return ret;
578 }
579
580 static int mxs_spi_remove(struct platform_device *pdev)
581 {
582         struct spi_master *master;
583         struct mxs_spi *spi;
584         struct mxs_ssp *ssp;
585
586         master = spi_master_get(platform_get_drvdata(pdev));
587         spi = spi_master_get_devdata(master);
588         ssp = &spi->ssp;
589
590         spi_unregister_master(master);
591         clk_disable_unprepare(ssp->clk);
592         dma_release_channel(ssp->dmach);
593         spi_master_put(master);
594
595         return 0;
596 }
597
598 static struct platform_driver mxs_spi_driver = {
599         .probe  = mxs_spi_probe,
600         .remove = mxs_spi_remove,
601         .driver = {
602                 .name   = DRIVER_NAME,
603                 .owner  = THIS_MODULE,
604                 .of_match_table = mxs_spi_dt_ids,
605         },
606 };
607
608 module_platform_driver(mxs_spi_driver);
609
610 MODULE_AUTHOR("Marek Vasut <marex@denx.de>");
611 MODULE_DESCRIPTION("MXS SPI master driver");
612 MODULE_LICENSE("GPL");
613 MODULE_ALIAS("platform:mxs-spi");