]> git.karo-electronics.de Git - karo-tx-linux.git/commit
mtd: mtd_nandecctest: make module_init() return appropriate errno
authorAkinobu Mita <akinobu.mita@gmail.com>
Mon, 3 Sep 2012 12:59:59 +0000 (21:59 +0900)
committerArtem Bityutskiy <artem.bityutskiy@linux.intel.com>
Tue, 4 Sep 2012 10:14:03 +0000 (13:14 +0300)
commit1a0f041ee389dee980a0d275136a78d2cdc22c5e
tree8d72c8c713cdfe355d67942b1e776f7625a6aa3d
parent0e8dbf4484f571b6ebca15a157dd3f96de86d581
mtd: mtd_nandecctest: make module_init() return appropriate errno

Return -EINVAL instead of -1 (-EPERM) when test fails.

Signed-off-by: Akinobu Mita <akinobu.mita@gmail.com>
Signed-off-by: Artem Bityutskiy <artem.bityutskiy@linux.intel.com>
drivers/mtd/tests/mtd_nandecctest.c