]> git.karo-electronics.de Git - karo-tx-linux.git/commit
clk: add Loongson1B clock support
authorKelvin Cheung <keguang.zhang@gmail.com>
Mon, 20 Aug 2012 10:05:35 +0000 (18:05 +0800)
committerMike Turquette <mturquette@linaro.org>
Fri, 31 Aug 2012 18:05:18 +0000 (11:05 -0700)
commit5175cb5894d606f1756c07a685e6dcabd2d8745a
tree2f0ffc6675b5c7c7324b6bf1980cd8c98bfb7768
parente5ad7ac73cdd43c48998f1f43261c6209aebe00b
clk: add Loongson1B clock support

This adds clock support to Loongson1B SoC using the common clock
infrastructure.

Signed-off-by: Kelvin Cheung <keguang.zhang@gmail.com>
Signed-off-by: Mike Turquette <mturquette@linaro.org>
drivers/clk/Makefile
drivers/clk/clk-ls1x.c [new file with mode: 0644]