From de77b4ceae336d97a272eedbfd0047045074dca9 Mon Sep 17 00:00:00 2001 From: Anjana Sasindran Date: Fri, 5 Dec 2014 21:17:02 +0530 Subject: [PATCH] staging: rtl8723au: hal: Removed a space before semicolon This patch fix the checkpatch.pl warning: WARNING: space before semicolon prohibited Signed-off-by: Anjana Sasindran Signed-off-by: Greg Kroah-Hartman --- drivers/staging/rtl8723au/hal/rtl8723a_bt-coexist.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/drivers/staging/rtl8723au/hal/rtl8723a_bt-coexist.c b/drivers/staging/rtl8723au/hal/rtl8723a_bt-coexist.c index 86a83975f4f0..412d8cf5a52a 100644 --- a/drivers/staging/rtl8723au/hal/rtl8723a_bt-coexist.c +++ b/drivers/staging/rtl8723au/hal/rtl8723a_bt-coexist.c @@ -9145,7 +9145,7 @@ u32 BTDM_BtTxRxCounterL(struct rtw_adapter *padapter) u32 counters = 0; counters = pHalData->bt_coexist.halCoex8723.lowPriorityTx+ - pHalData->bt_coexist.halCoex8723.lowPriorityRx ; + pHalData->bt_coexist.halCoex8723.lowPriorityRx; return counters; } -- 2.39.5