]> git.karo-electronics.de Git - karo-tx-uboot.git/commit
Net: macb: reset GBE bit when fallback checking
authorBo Shen <voice.shen@atmel.com>
Wed, 4 Mar 2015 05:35:16 +0000 (13:35 +0800)
committerAndreas Bießmann <andreas.devel@googlemail.com>
Wed, 18 Mar 2015 22:36:09 +0000 (23:36 +0100)
commitc83cb5f665c11eb0231bb32c3f1094bb55139024
treedc00eaae91bcf7d2ffef65b33190cecfaf6ba8ea
parent993ea97e76ba0ceec39c50fea56143506532fa16
Net: macb: reset GBE bit when fallback checking

If the GBE bit is set, when do next time autonegotiation,
if the result is not 1000Mbps, it will fallback to 100Mbps
checking. So, we need to clear the GBE bit.

Signed-off-by: Bo Shen <voice.shen@atmel.com>
drivers/net/macb.c